UDP/IP IPコア

技術紹介

外部I/Oを経由するexStickサンプルでDE0を迂回してみた

exStickで外部回路からUDPパケットを送受信するの続編として,このサンプルを使ってexStickとDE0(Altera Cyclone III)を接続してみました.といっても,DE0では単にパケットを通過させているだけ,なのですが.e...
製品紹介

exStickで外部回路からUDPパケットを送受信する

UPLの理解を進めるために、外部のFPGA等の回路からIOピンをパタパタしてUDPの送信/受信を行えるexStick用のコンフィグデータを作りました。これを使えば、AlteraユーザさんでもXilinxの開発環境を使わずにexStickのU...
タイトルとURLをコピーしました