exLeafはMachXO3LFを搭載したSDカードサイズのコンパクトなFPGAボードです.イーサコネクタを搭載しないさらに小さなexLeaf_pもあります.

回路図

GPIO配置図

  • exLeafはPMOD配置のGPIOを4組,合計16個のGPIOを持っています
  • exLeaf_pはPMOD配置のGPIOを2組と8つのGPIO,1組のI2Cポートとしても使える2つのGPIO,合計18個のGPIOを持っています.

  • exLeafを駆動するには,イーサケーブルの4,5ピンと7,8ピンの間に4V以上の電圧を加えてください.
  • exLeaf_pを駆動するには,3.3Vのピンに3.3Vを供給してください.

サンプルイメージ

すぐにexLeafを使うことができるビルド済みサンプルイメージ(.jedファイル)です.exLeafに書き込んで使用してください.exLeafを使ったネットワークによるI/O拡張機能を活用することができます.exLeafをご購入いただいた方はサンプルイメージを自由にご利用いただくことができます.ただし,イーツリーズは損害に対する責任を負うことはできませんのでご注意ください.

なお,イーツリーズ・ジャパンでは,サンプル以外の動作をさせたい場合のカスタマイズ,アプリケーション開発も請け負っています.お問い合わせはこちらから.お気軽にご連絡ください.

ネットワーク越しにデジタル出力

UDPパケットを使ってネットワーク越しでexLeafの全てのGPIOポートに出力する値を制御できるサンプルです.[詳細を見る]

ネットワーク越しにデジタル入力

UDPパケットを使ってネットワーク越しで全てのexLeafのGPIOポートの値を読み取るサンプルです.[詳細を見る]

ネットワーク越しにデジタル入出力

UDPパケットを使ってネットワーク越しでexLeafのGPIOポート J2とJ3に出力する値を制御,J4とJ5の値を読み取るサンプルです.[詳細を見る]

ネットワーク越しにI2Cアクセス

UDPパケットを使ってネットワーク越しでI2Cデバイスにアクセスするサンプルです.[詳細を見る]

ネットワーク越しにSPIアクセス

UDPパケットを使ってネットワーク越しでSPIデバイスにアクセスするサンプルです.[詳細を見る]

ネットワーク越しにUART入出力

UDPパケットを使ってネットワーク越しでUART入出力をするサンプルです.[詳細を見る]

exLeaf_pでexLeafを拡張

exLeafをUDPパケットをハンドリングするブラックボックスモジュールとして利用し,exLeaf_pにユーザロジックを構築するサンプルです.[詳細を見る]