2022-07

未分類

exLeaf-iでRevealを使ってデバッグする

Lattice Radiant SoftwareではFPGAの中の動作をRevealというコアで観測することができます.Xilinx/AMDのFPGAであればChipscopeやILA,IntelのFPGAであればSignalTapという...
タイトルとURLをコピーしました