2015-05

技術紹介

外部I/Oを経由するexStickサンプルでDE0を迂回してみた

exStickで外部回路からUDPパケットを送受信するの続編として,このサンプルを使ってexStickとDE0(Altera Cyclone III)を接続してみました.といっても,DE0では単にパケットを通過させているだけ,なのですが.e...
製品紹介

exStickで外部回路からUDPパケットを送受信する

UPLの理解を進めるために、外部のFPGA等の回路からIOピンをパタパタしてUDPの送信/受信を行えるexStick用のコンフィグデータを作りました。これを使えば、AlteraユーザさんでもXilinxの開発環境を使わずにexStickのU...
技術紹介

PC-PC間のUDP通信レイテンシをはかってみた

昨日のe7UDP/IPを使ったFPGA-PC間の通信レイテンシをはかってみたに引き続いて,PC同士の通信レイテンシをはかってみました.注: あくまで,この数字は今回はかってみたという範囲での測定結果です.測定結果は十分に検証されてものではあ...
技術紹介

e7UDP/IPを使ったFPGA-PC間の通信レイテンシをはかってみた

GbE版のe7UDP/IPを使ってPCからFPGAにパケットを送って返ってくるまでのレイテンシをはかってみました.注: あくまで,この数字は今回はかってみたという範囲での測定結果です.測定結果は十分に検証されてものではありませんので,ご容赦...
製品紹介

MAX11300PMB1をexStickにつなぐ

GWいかがおすごしですか?さて、今日はGW中の簡単な工作としてMAX11300PMB1をexStickにつないでみます。20本のADC/DACを備えたIOポートをNetworkで制御できるようになりますよ。MAX11300PMB1とはMAX...
タイトルとURLをコピーしました