2020-05

製品紹介

exStickGEで画像にフィルタをかけてみた

前回HDMI入力をキャプチャして転送するデモでしたが今回はキャプチャした画像をFPGA側でフィルターをかけて転送してみました. ソースコードはここの「exStickGE_imageprocessing」にアップしてあります. 動かしてみ...
製品紹介

exStickGEでHDMIの画像を取得してみた

前回はHDMI出力側でしたが今回は入力側を試してみました. 今回もexStickGEと弊社のIPコアe7UDP/IPを使用してUDP/IP経由でHDMI映像の一瞬を切り取り表示します. ソースコードはここの「exStickGE_hdmi...
技術紹介

exStickGEでHDMI表示をしてみた

exStickGEでは拡張ボードを使うことでHDMIの入出力が出来ます. そこで今回は弊社のIPコアe7UDP/IPを使用してUDP/IP経由でHDMIのデジタルサイネージのようなものを作ってみました. ソースコードはここの「exSti...
タイトルとURLをコピーしました