UPL

製品紹介

exStickで外部回路からUDPパケットを送受信する

UPLの理解を進めるために、外部のFPGA等の回路からIOピンをパタパタしてUDPの送信/受信を行えるexStick用のコンフィグデータを作りました。これを使えば、AlteraユーザさんでもXilinxの開発環境を使わずにexStickのU...
タイトルとURLをコピーしました