exStick

技術紹介

exStickでArduino

"組み込み"と言えば,ArduinoやRaspberryPi,mbedが大流行していますね.手元で開発環境をクロスコンパイル...という手間がなく開発をはじめることができ,また,多数の人の解説を参考にできたり,プロジェクトの共有が簡単だ...
技術紹介

exStickとOpenCV

ちまたには,OpenCVを使って○○しましたというWeb上の記事がたくさんありますね.楽しそうだなあと横目に見る日々を過ごしていたのですが,2015年の締めくくりにexStickとOpenCVで遊んでみる事にしました.実験環境実験環境はex...
技術紹介

外部I/Oを経由するexStickサンプルでDE0を迂回してみた

exStickで外部回路からUDPパケットを送受信するの続編として,このサンプルを使ってexStickとDE0(Altera Cyclone III)を接続してみました.といっても,DE0では単にパケットを通過させているだけ,なのですが.e...
製品紹介

exStickで外部回路からUDPパケットを送受信する

UPLの理解を進めるために、外部のFPGA等の回路からIOピンをパタパタしてUDPの送信/受信を行えるexStick用のコンフィグデータを作りました。これを使えば、AlteraユーザさんでもXilinxの開発環境を使わずにexStickのU...
タイトルとURLをコピーしました