nullnuma

技術紹介

exStickGEのボードファイルを作ってみた

Vivadoにはボードファイルと呼ばれる機能があります. この機能を使うとブロックデザインでぽちぽちポートを作成しそれに適したIPを別途生成する 作業が一度に完了し,xdcファイルによるポートの指定が必要なくなります. Xilinx社のリフ...
技術紹介

exStickGEとMicroBlazeでDhrystoneを動かしてみた

今回も引き続きMicroBlazeネタです. Dhrystoneと呼ばれるベンチマークソフトウェアを動かしてみました. ベンチマークということなのでMicroBlazeのキャッシュの容量を変化させて どの程度の高速化ができるのか試してみたい...
技術紹介

exStickGEとMicroBlazeでWebサーバーを動かしてみた

前回はexStickGE上でEthernetのIPコアと連携しechoサーバを動かしました. 今回はWebサーバ(HTTPサーバ)を動かしてみました. FPGAのハードウェア部分は前回作成したのでそのまま使用します. 今回はソフトウェア...
技術紹介

exStickGEでMicroBlazeとAXI Ethernet Liteを動かしてみた

前回はexStickGE上でDDR3メモリを扱えるMicroBlazeを動かしたのですが 今回はAXI Ethernet Lite(以降Ethernet Lite)と呼ばれる無償のEthernetのIPコアをMicroblazeで制御させて...
技術紹介

exStickGEでMicroBlaze with MIGのアクセス速度について

前回の記事では、exStickGE上でソフトプロセッサであるMicroBlazeを動かしてみました。今回は、DRAMアクセスにかかる時間について、アクセスの仕方で速度が多少なりとも変わるのかについて調べました。 検証 速度計測のプログラム...
技術紹介

exStickGEでMicroBlazeを動かしてみた with MIG

今回はexStickGE上でソフトプロセッサであるMicroBlazeを動かしてみました. FPGAでもマイコンのような使い方したくなるときもあるので, そんなときにはMicroBlazeが便利ですね. この記事では, MicroBla...
技術紹介

exStickGEでHDMIの転送をしてみた

今回は2台のexStickGE間をUDP経由でHDMIの映像を転送してみました. exStickGEでHDMI表示をしてみたとexStickGEでHDMIの画像を取得してみたの回を 組み合わせたようなものです. ソースコードはここの「...
製品紹介

exStickGEで画像にフィルタをかけてみた

前回HDMI入力をキャプチャして転送するデモでしたが今回はキャプチャした画像をFPGA側でフィルターをかけて転送してみました. ソースコードはここの「exStickGE_imageprocessing」にアップしてあります. 動かしてみ...
製品紹介

exStickGEでHDMIの画像を取得してみた

前回はHDMI出力側でしたが今回は入力側を試してみました. 今回もexStickGEと弊社のIPコアe7UDP/IPを使用してUDP/IP経由でHDMI映像の一瞬を切り取り表示します. ソースコードはここの「exStickGE_hdmi...
技術紹介

exStickGEでHDMI表示をしてみた

exStickGEでは拡張ボードを使うことでHDMIの入出力が出来ます. そこで今回は弊社のIPコアe7UDP/IPを使用してUDP/IP経由でHDMIのデジタルサイネージのようなものを作ってみました. ソースコードはここの「exSti...
タイトルとURLをコピーしました