技術紹介

exStickGEでPcam5Cを動かしてみた

Pcam 5Cは5Mピクセルの解像度を持ったカメラでDigilent社から発売されています.MIPI CSI-2規格の信号でカメラの画像を読み取ることができるものです.今回はこのカメラを使用してexStickGEで画像を取得してみようと思...
技術紹介

自作IPを作りexStickGE上でLチカ

FPGAを用いて開発していく上でIPコアを作る必要が出てくる場合があります.その一例としてGPIOを持ちMicroBlazeからAXIトランザクションにより制御できるIPコアを作ってみます. IPコアを動かすための土台を作る まずはMic...
技術紹介

exStickGEでHTTPサーバを建ててLチカしてみた

前回はexStickGE上のMicroBlazeで動作するLinuxにEthernetを追加しました. ネットワークにつながったので今回はHTTPサーバを建ててみたいと思います. ついでにLEDのLチカを追加します.(ついでと言う割には作業...
技術紹介

exStickGEのLinuxにEthernetを追加してみた

前回は exStickGE 上に載せた MicroBlaze で Linux を動かしてみました. ただしこの状態ではネットワークに接続できません. 本ボードの特徴である Ethernet を有効活用すべく追加しました. 追加作業 前回に...
技術紹介

exStickGEでLinuxを動かしてみた

今回はexStickGE上のMicroBlazeでLinuxを動かしてみます. 簡易的にJTAGから書き込む形です. Linuxについて開発するので開発環境もWindowsではなくLinuxが必要です. Ubuntu 18.04上で開発し...
技術紹介

exStickGEのボードファイルを作ってみた

Vivadoにはボードファイルと呼ばれる機能があります. この機能を使うとブロックデザインでぽちぽちポートを作成しそれに適したIPを別途生成する 作業が一度に完了し,xdcファイルによるポートの指定が必要なくなります. Xilinx社のリフ...
技術紹介

exStickGEとMicroBlazeでDhrystoneを動かしてみた

今回も引き続きMicroBlazeネタです. Dhrystoneと呼ばれるベンチマークソフトウェアを動かしてみました. ベンチマークということなのでMicroBlazeのキャッシュの容量を変化させて どの程度の高速化ができるのか試してみたい...
技術紹介

exStickGEとMicroBlazeでWebサーバーを動かしてみた

前回はexStickGE上でEthernetのIPコアと連携しechoサーバを動かしました. 今回はWebサーバ(HTTPサーバ)を動かしてみました. FPGAのハードウェア部分は前回作成したのでそのまま使用します. 今回はソフトウェア...
技術紹介

exStickGEでMicroBlazeとAXI Ethernet Liteを動かしてみた

前回はexStickGE上でDDR3メモリを扱えるMicroBlazeを動かしたのですが 今回はAXI Ethernet Lite(以降Ethernet Lite)と呼ばれる無償のEthernetのIPコアをMicroblazeで制御させて...
技術紹介

exStickGEでMicroBlaze with MIGのアクセス速度について

前回の記事では、exStickGE上でソフトプロセッサであるMicroBlazeを動かしてみました。今回は、DRAMアクセスにかかる時間について、アクセスの仕方で速度が多少なりとも変わるのかについて調べました。 検証 速度計測のプログラム...
タイトルとURLをコピーしました