技術紹介

exStickGEでMicroBlazeを動かしてみた with MIG

今回はexStickGE上でソフトプロセッサであるMicroBlazeを動かしてみました. FPGAでもマイコンのような使い方したくなるときもあるので, そんなときにはMicroBlazeが便利ですね. この記事では, MicroBla...
技術紹介

exStickGEでHDMIの転送をしてみた

今回は2台のexStickGE間をUDP経由でHDMIの映像を転送してみました. exStickGEでHDMI表示をしてみたとexStickGEでHDMIの画像を取得してみたの回を 組み合わせたようなものです. ソースコードはここの「...
未分類

Rapid IoTを色々動かしてみた

NXPのRapid IoT prototyping kitには様々なセンサー類が搭載されており、 それらのセンサー情報を取得するアプリケーションが事前にプログラムされています。 また総合開発環境MCUXpressoと別売りのHexi...
未分類

RFSoC の A-D/D-A コンバータを最大サンプリングで動作させてみた

Xilinx 社が提供する RFSoC ZCU111 評価キット には、最大サンプリングレートが 4.096 の A-D コンバータ (以下 ADC と記載) と6.554 の D-A コンバータ (以下 DAC と記載) が搭載...
製品紹介

exStickGEで画像にフィルタをかけてみた

前回HDMI入力をキャプチャして転送するデモでしたが今回はキャプチャした画像をFPGA側でフィルターをかけて転送してみました. ソースコードはここの「exStickGE_imageprocessing」にアップしてあります. 動かしてみ...
製品紹介

exStickGEでHDMIの画像を取得してみた

前回はHDMI出力側でしたが今回は入力側を試してみました. 今回もexStickGEと弊社のIPコアe7UDP/IPを使用してUDP/IP経由でHDMI映像の一瞬を切り取り表示します. ソースコードはここの「exStickGE_hdmi...
技術紹介

exStickGEでHDMI表示をしてみた

exStickGEでは拡張ボードを使うことでHDMIの入出力が出来ます. そこで今回は弊社のIPコアe7UDP/IPを使用してUDP/IP経由でHDMIのデジタルサイネージのようなものを作ってみました. ソースコードはここの「exSti...
技術紹介

PythonでMQTTSのpub/subしてみた

開発ブログがご無沙汰となっておりましたが、新型コロナウィルスに負けないように、イーツリーズとしてはリモートワーク体制で技術の仕込みを着々と行っております。 ところで、IoT関連ではMQTTが使われることが多いですね。MQTTは平文で通信さ...
製品紹介

exLeaf-iでiCE UltraPlusのLED Driverで遊んでみた

超低消費電力が売りのiCE40 UltraPlusですが,ちょっと遊べる(?)ボードexLeaf-iが社長の手によりバージョンアップ,LED DriverのポートにLEDが接続されてました.というわけで,なにはともあれ,LED Driver...
製品紹介

exStickGEはじめました

e-trees.Japanでは, exStick の後継として exStickGE を開発,発売しました.exStickでは100MbEだったネットワークインターフェースが,GbEへとパワーアップ.もちろん,e7UDP/IP IPコアが付属...
タイトルとURLをコピーしました