ノウハウ紹介

QuartusIIで中間ファイルを利用する

XilinxのISEやVivadoを使った開発では,中間生成物であるngcやEDIFを生成して,ブラックボックス的に利用することができます.部分モジュールをライブラリ化して取り回すことができるため便利です.ところが,AlteraのQuart...
技術紹介

MicroBoardのSPI ROMからMicroBlazeプログラムを読んで起動する話

MicroBlazeは便利ですが,ちょっと気の利いたプログラムを実行しようとすると,BlockRAMに入りきらなくなってしまって外部メモリ(DDR3やLPDDR)が必要になります.もちろん開発中はSDKで外部メモリにロードして実行すればよい...
製品紹介

exPiはじめました

今さら,な感じですがSpartan6基板を作りました.RaspberryPi2をすっぽり覆いかぶせるスタイルの基板です.欲しい方には基板データ(Eagleデータ)を公開しますのでご連絡ください.ちなみに,当社では,カッティングプロッターでマ...
技術紹介

レジスタファイルのシリアライゼーション,プチ実験

データ並列性が活用できる処理では,並行処理した結果を,それぞれのレジスタに格納しておいて最終的にそれをシリアライゼーションして取り出したい,というケースがままあります.FPGAが大規模になって,かなりたくさんの処理を並べられるなってきた今日...
技術紹介

外部I/Oを経由するexStickサンプルでDE0を迂回してみた

exStickで外部回路からUDPパケットを送受信するの続編として,このサンプルを使ってexStickとDE0(Altera Cyclone III)を接続してみました.といっても,DE0では単にパケットを通過させているだけ,なのですが.e...
製品紹介

exStickで外部回路からUDPパケットを送受信する

UPLの理解を進めるために、外部のFPGA等の回路からIOピンをパタパタしてUDPの送信/受信を行えるexStick用のコンフィグデータを作りました。これを使えば、AlteraユーザさんでもXilinxの開発環境を使わずにexStickのU...
技術紹介

PC-PC間のUDP通信レイテンシをはかってみた

昨日のe7UDP/IPを使ったFPGA-PC間の通信レイテンシをはかってみたに引き続いて,PC同士の通信レイテンシをはかってみました.注: あくまで,この数字は今回はかってみたという範囲での測定結果です.測定結果は十分に検証されてものではあ...
技術紹介

e7UDP/IPを使ったFPGA-PC間の通信レイテンシをはかってみた

GbE版のe7UDP/IPを使ってPCからFPGAにパケットを送って返ってくるまでのレイテンシをはかってみました.注: あくまで,この数字は今回はかってみたという範囲での測定結果です.測定結果は十分に検証されてものではありませんので,ご容赦...
製品紹介

MAX11300PMB1をexStickにつなぐ

GWいかがおすごしですか?さて、今日はGW中の簡単な工作としてMAX11300PMB1をexStickにつないでみます。20本のADC/DACを備えたIOポートをNetworkで制御できるようになりますよ。MAX11300PMB1とはMAX...
ノウハウ紹介

XPSプロジェクトをインポートするときの注意点

XPSで作ったプロジェクトをサブプロジェクトとしてインスタンス化したいことがあります.ISEで新規にXPSサブモジュールを作る場合(New Source→Embedded Processorとするアレ)と違って,大事な一手間があります.それ...
タイトルとURLをコピーしました